当前位置: 首页 > news >正文

用cms做网站的具体步骤wordpress 主题和插件下载失败

用cms做网站的具体步骤,wordpress 主题和插件下载失败,如何加强网站内容建设,郑州seo外包收费标准FIR#xff08;Finite Impulse Response#xff09;滤波器是一种有限长单位冲激响应滤波器#xff0c;又称为非递归型滤波器。 FIR 滤波器具有严格的线性相频特性#xff0c;同时其单位响应是有限长的#xff0c;因而是稳定的系统#xff0c;在数字通信、图像处理等领域…FIRFinite Impulse Response滤波器是一种有限长单位冲激响应滤波器又称为非递归型滤波器。 FIR 滤波器具有严格的线性相频特性同时其单位响应是有限长的因而是稳定的系统在数字通信、图像处理等领域都有着广泛的应用。 FIR 滤波器原理 FIR 滤波器是有限长单位冲击响应滤波器。直接型结构如下 FIR 滤波器本质上就是输入信号与单位冲击响应函数的卷积表达式如下 FIR 滤波器有如下几个特性 (1) 响应是有限长序列。 (2) 系统函数在 |z| 0 处收敛极点全部在 z0 处属于因果系统。 (3) 结构上是非递归的没有输出到输入的反馈。 (4) 输入信号相位响应是线性的因为响应函数 h(n) 系数是对称的。 (5) 输入信号的各频率之间相对相位差也是固定不变的。 (6) 时域卷积等于频域相乘因此该卷积相当于筛选频谱中各频率分量的增益倍数。某些频率分量保留某些频率分量衰减从而实现滤波的效果。 并行 FIR 滤波器设计 设计说明 输入频率为 7.5 MHz 和 250 KHz 的正弦波混合信号经过 FIR 滤波器后高频信号 7.5MHz 被滤除只保留 250KHz 的信号。设计参数如下 输入频率 7.5MHz 和 250KHz 采样频率 50MHz 阻带 1MHz ~ 6MHz 阶数 15N-115由 FIR 滤波器结构可知阶数为 15 时FIR 的实现需要 16 个乘法器15 个加法器和 15 组延时寄存器。为了稳定第一拍的数据可以再多用一组延时寄存器即共用 16 组延时寄存器。由于 FIR 滤波器系数的对称性乘法器可以少用一半即共使用 8 个乘法器。 并行设计就是在一个时钟周期内对 16 个延时数据同时进行乘法、加法运算然后在时钟驱动下输出滤波值。这种方法的优点是滤波延时短但是对时序要求比较高。 并行设计 设计中使用到的乘法器模块代码可参考之前流水线式设计的乘法器。 为方便快速仿真也可以直接使用乘号 * 完成乘法运算设计中加入宏定义 SAFE_DESIGN 来选择使用哪种乘法器。 FIR 滤波器系数可由 matlab 生成具体见附录。 /***********************************************************V201001 : Fs50Mhz, fstop1Mhz-6Mhz, order 15 ************************************************************/ define SAFE_DESIGNmodule fir_guide (input rstn, //复位低有效input clk, //工作频率即采样频率input en, //输入数据有效信号input [11:0] xin, //输入混合频率的信号数据output valid, //输出数据有效信号output [28:0] yout //输出数据低频信号即250KHz);//data en delay reg [3:0] en_r ;always (posedge clk or negedge rstn) beginif (!rstn) beginen_r[3:0] b0 ;endelse beginen_r[3:0] {en_r[2:0], en} ;endend//(1) 16 组移位寄存器reg [11:0] xin_reg[15:0];reg [3:0] i, j ;always (posedge clk or negedge rstn) beginif (!rstn) beginfor (i0; i15; ii1) beginxin_reg[i] 12b0;endendelse if (en) beginxin_reg[0] xin ;for (j0; j15; jj1) beginxin_reg[j1] xin_reg[j] ; //周期性移位操作endendend//Only 8 multipliers needed because of the symmetry of FIR filter coefficient//(2) 系数对称16个移位寄存器数据进行首位相加reg [12:0] add_reg[7:0];always (posedge clk or negedge rstn) beginif (!rstn) beginfor (i0; i8; ii1) beginadd_reg[i] 13d0 ;endendelse if (en_r[0]) beginfor (i0; i8; ii1) beginadd_reg[i] xin_reg[i] xin_reg[15-i] ;endendend//(3) 8个乘法器// 滤波器系数已经过一定倍数的放大wire [11:0] coe[7:0] ;assign coe[0] 12d11 ;assign coe[1] 12d31 ;assign coe[2] 12d63 ;assign coe[3] 12d104 ;assign coe[4] 12d152 ;assign coe[5] 12d198 ;assign coe[6] 12d235 ;assign coe[7] 12d255 ;reg [24:0] mout[7:0]; ifdef SAFE_DESIGN//流水线式乘法器wire [7:0] valid_mult ;genvar k ;generatefor (k0; k8; kk1) beginmult_man #(13, 12)u_mult_paral (.clk (clk),.rstn (rstn),.data_rdy (en_r[1]),.mult1 (add_reg[k]),.mult2 (coe[k]),.res_rdy (valid_mult[k]), //所有输出使能完全一致 .res (mout[k]));endendgeneratewire valid_mult7 valid_mult[7] ;else//如果对时序要求不高可以直接用乘号always (posedge clk or negedge rstn) beginif (!rstn) beginfor (i0 ; i8; ii1) beginmout[i] 25b0 ;endendelse if (en_r[1]) beginfor (i0 ; i8; ii1) beginmout[i] coe[i] * add_reg[i] ;endendendwire valid_mult7 en_r[2]; endif//(4) 积分累加8组25bit数据 - 1组 29bit 数据//数据有效延时reg [3:0] valid_mult_r ;always (posedge clk or negedge rstn) beginif (!rstn) beginvalid_mult_r[3:0] b0 ;endelse beginvalid_mult_r[3:0] {valid_mult_r[2:0], valid_mult7} ;endendifdef SAFE_DESIGN//加法运算时分多个周期进行流水优化时序reg [28:0] sum1 ;reg [28:0] sum2 ;reg [28:0] yout_t ;always (posedge clk or negedge rstn) beginif (!rstn) beginsum1 29d0 ;sum2 29d0 ;yout_t 29d0 ;endelse if(valid_mult7) beginsum1 mout[0] mout[1] mout[2] mout[3] ;sum2 mout[4] mout[5] mout[6] mout[7] ;yout_t sum1 sum2 ;endendelse //一步计算累加结果但是实际中时序非常危险reg signed [28:0] sum ;reg signed [28:0] yout_t ;always (posedge clk or negedge rstn) beginif (!rstn) beginsum 29d0 ;yout_t 29d0 ;endelse if (valid_mult7) beginsum mout[0] mout[1] mout[2] mout[3] mout[4] mout[5] mout[6] mout[7];yout_t sum ;endend endifassign yout yout_t ;assign valid valid_mult_r[0];endmoduletestbench testbench 编写如下主要功能就是不间断连续的输入 250KHz 与 7.5MHz 的正弦波混合信号数据。输入的混合信号数据也可由 matlab 生成具体见附录。 timescale 1ps/1psmodule test ;//inputreg clk ;reg rst_n ;reg en ;reg [11:0] xin ;//outputwire valid ;wire [28:0] yout ;parameter SIMU_CYCLE 64d2000 ; //50MHz 采样频率parameter SIN_DATA_NUM 200 ; //仿真周期// // 50MHz clk generatinglocalparam TCLK_HALF 10_000;initial beginclk 1b0 ;forever begin# TCLK_HALF ;clk ~clk ;endend// // reset and finishinitial beginrst_n 1b0 ;# 30 rst_n 1b1 ;# (TCLK_HALF * 2 * SIMU_CYCLE) ;$finish ;end// // read signal data into registerreg [11:0] stimulus [0: SIN_DATA_NUM-1] ;integer i ;initial begin$readmemh(../tb/cosx0p25m7p5m12bit.txt, stimulus) ;i 0 ;en 0 ;xin 0 ;# 200 ;forever begin(negedge clk) beginen 1b1 ;xin stimulus[i] ;if (i SIN_DATA_NUM-1) begin //周期送入数据控制i 0 ;endelse begini i 1 ;endendend end fir_guide u_fir_paral (.xin (xin),.clk (clk),.en (en),.rstn (rst_n),.valid (valid),.yout (yout));endmodule仿真结果 由下图仿真结果可知经过 FIR 滤波器后的信号只有一种低频率信号250KHz高频信号7.5MHz被滤除了。而且输出波形是连续的能够持续输出。 但是如红圈所示波形起始部分呈不规则状态对此进行放大。 波形起始端放大后如下图所示可见不规则波形的时间段即两根竖线之间的时间间隔是 16 个时钟周期。 因为数据是串行输入设计中使用了 16 组延时寄存器所以滤波后的第一个正常点应该较第一个滤波数据输出时刻延迟 16 个时钟周期。即数据输出有效信号 valid 应该再延迟 16 个时钟周期则会使输出波形更加完美。 附录matlab 使用 生成 FIR 滤波器系数 打开 matlab在命令窗口输入命令 fdatool。 然后会打开如下窗口按照 FIR 滤波器参数进行设置。 这里选择的 FIR 实现方法是最小二乘法Least-squares不同的实现方式滤波效果也不同。 点击 File - Export 将滤波器参数输出存到变量 coef 中如下图所示。 此时 coef 变量应该是浮点型数据。对其进行一定倍数的相乘扩大然后取其近似的定点型数据作为设计中的 FIR 滤波器参数。这里取扩大倍数为 2048结果如下所示。 生成输入的混合信号 利用 matlab 生成混合的输入信号参考代码如下。 信号为无符号定点型数据位宽宽度为 12bit存于文件 cosx0p25m7p5m12bit.txt。 clear all;close all;clc; % % generating a cos wave data with txt hex format %fc 0.25e6 ; % 中心频率 fn 7.5e6 ; % 杂波频率 Fs 50e6 ; % 采样频率 T 1/fc ; % 信号周期 Num Fs * T ; % 周期内信号采样点数 t (0:Num-1)/Fs ; % 离散时间 cosx cos(2*pi*fc*t) ; % 中心频率正弦信号 cosn cos(2*pi*fn*t) ; % 杂波信号 cosy mapminmax(cosx cosn) ; %幅值扩展到-1,1 之间 cosy_dig floor((2^11-1) * cosy 2^11) ; %幅值扩展到 0~4095 fid fopen(cosx0p25m7p5m12bit.txt, wt) ; %写数据文件 fprintf(fid, %x\n, cosy_dig) ; fclose(fid) ;%时域波形 figure(1); subplot(121);plot(t,cosx);hold on ; plot(t,cosn) ; subplot(122);plot(t,cosy_dig) ;%频域波形 fft_cosy fftshift(fft(cosy, Num)) ; f_axis (-Num/2 : Num/2 - 1) * (Fs/Num) ; figure(5) ; plot(f_axis, abs(fft_cosy)) ;
http://wiki.neutronadmin.com/news/283383/

相关文章:

  • 企业网站建设的建议对于网站建设的调查问卷
  • 用网站做自我介绍ppt大连建站系统模板
  • 莒县网站建设建行商城网站
  • 常州模板网站建设价位浙江门户网站建设公司
  • 邢台移动网站建设公司谷歌sem推广
  • 银川网站建设培训哪家好全国城市雕塑建设官方网站
  • 镇江建设银行网站wordpress导航怎么弄
  • 国外网站网站app自媒体怎么入门
  • seo属于什么淄博网站建设推广优化
  • 网站建设入门培训网络营销方式和方法
  • 什么网站可以查建设用地规划许可证wordpress返回旧版本
  • 礼泉住房和城乡建设局网站wordpress叶子
  • wordpress 增加路由丰台网站建设推广seo
  • 天河做网站系统做的好看的pc端网站
  • 广东手机网站建设哪家专业上海要做网站
  • 手机百度官网首页江门网站优化
  • 青岛网站推广服务网站建设 河南
  • 茂名网站建设价格网站开发 功能不会写
  • 哪些网站可以看一级a做爰片东城网站建设哪家好
  • 重庆网站seo费用多用户商城(c2c)网站制作方案
  • 网站建设公司不挣钱的原因网络营销的起源
  • 做网站销售的话术装修设计师在哪里找
  • 邯郸高端网站建设价格网站开发和前端和数据媒体
  • 软装设计公司网站建设部网站有项目经理资质查询系统
  • 外贸免费建设网站制作深圳专业商城网站制作
  • 上饶网站开发宁波制作网站哪个好
  • 网站建设 生产外贸网站平台都有哪些平台
  • 中国住房城乡建设厅网站自动更新的网站建设
  • 怎样做自己的 优惠卷网站口碑好的龙岗网站建设
  • 毕业设计网站开发要做什么广告投放运营主要做什么