当前位置: 首页 > news >正文

phpcms可以做网站吗单页设计网站

phpcms可以做网站吗,单页设计网站,织梦手机网站怎么安装教程视频教程,山西省建设厅官网站SVA可以在序列每次成功匹配时调用子程序。同一序列中定义的局部变量可以作为参数传给这些子程序。对于序列的每次匹配,子程序调用的执行与它们在序列定义中的顺序相同。 module sub;logic a, b, clk;initial $vcdpluson();initial begin clk 1b0; a1b0; b1b0; repeat(2) (pos…        SVA可以在序列每次成功匹配时调用子程序。同一序列中定义的局部变量可以作为参数传给这些子程序。对于序列的每次匹配,子程序调用的执行与它们在序列定义中的顺序相同。 module sub;logic a, b, clk;initial $vcdpluson();initial begin clk 1b0; a1b0; b1b0; repeat(2) (posedge clk); a1b1; repeat(1) (posedge clk); a1b0; repeat(2) (posedge clk); b1b1; repeat(1) (posedge clk); b1b0;repeat(2) (posedge clk); a1b1; repeat(1) (posedge clk); a1b0; repeat(6) (posedge clk); b1b1; repeat(1) (posedge clk); b1b0;repeat(2) (posedge clk); $finish; endinitial forever clk #25 ~ clk;sequence s_display1;(posedge clk) ($rose(a), $display(Signal a arrived at %t\n, $time)); endsequencesequence s_display2;(posedge clk) ($rose(b), $display(Signal b arrived at %t\n, $time)); endsequenceproperty p_display_window;(posedge clk) s_display1 |- ##[2:5] s_display2; endpropertya_display_window : assert property(p_display_window);endmodule序列 s_display1查找信号“a”的上升沿。如果匹配,就执行display语句。序列 s_display2对信号“b”作类似的检查。属性p_display_window检验如果序列 s_display1出现,那么序列s_display2必须在2~5个时钟周期之间的某个时刻出现。使用display语句,用户可以得到精确的信息,了解后续序列经过多少个时钟周期完成。图1-43显示了检验在模拟中的响应。         标记1s显示了由于检测到信号“a”的上升沿而得到的一个检验器的有效开始。在这一点,SVA执行序列 s_display1的 display语句。标记1e显示了信号“b”出现上升沿的点。因为它出现在3个时钟周期后,所以检验成功。在这个点上,执行序列 s_display2的 display语句。         标记2s显示了由于检测到信号“a”的上升沿而得到的检验器的另一个有效开始。在这一点,SVA执行序列 s_display1的display语句。标记2e显示了检验器的结束点。信号“b”的有效上升沿没能在2~5个时钟周期内出现,因此检验失败。由于第个序列没有匹配,序列相关的 display语句没有执行。SⅤA发出一个默认的出错信息。 在波形窗口显示的断言结果如下所示 一个模拟日志的实例如下所示。
http://wiki.neutronadmin.com/news/245965/

相关文章:

  • 洛阳建站室内设计要学哪些科目
  • 网站建设的后期维护费用wordpress目录绑定外部链接
  • 佛山免费发布信息的网站长沙有哪些做网站的公司
  • 公众号开发者多次群发wordpress路径优化
  • 比较出名的设计网站免费好用的crm系统
  • 免费的网站登录模板下载手机定制app
  • 网站建设 温州房产公司网站建设方案ppt
  • 做程序的网站视差 长沙做网站
  • 淘宝网站都是怎么做的吗免费行情网站app大全下载
  • 做网站和程序员哪个好点如何自己制作链接内容
  • 上市公司协会网站建设汇报京东网站的建设与发展前景
  • 如何降低网站相似度哪些网站专做新闻
  • php网站开发源码免费成品网站那里好
  • 有哪些公司的网站做的比较好自己怎么优化网站
  • 网站如何做导航餐饮企业网站源码
  • 商城开发网站建设开发海淀区seo引擎优化
  • 网站开发公司名字自己怎么做百度推广
  • 微信上的网站怎么做的企业网站优化案例
  • 建立网站需要多少钱网站建设的要点是什么
  • 网站建设服务器技术有哪些西部中大建设集团网站
  • 网站建设课本wordpress 虾米音乐播放器
  • 网站三合一杭州富阳区网站建设公司
  • 浙江住房和建设网站首页网站建设方案 流程
  • 网站推广的表现方式网站登录流程图
  • 石家庄网站制作网站建设论证方案
  • 上海做网站公司html5单页网站
  • 企业网站建设 建立作用全网营销渠道
  • c网站开发wordpress分页阅读
  • 成全免费观看在线看seo快速排名源码
  • 美容美发网站模板本地东莞网站建设